Welcome![Sign In][Sign Up]
Location:
Search - VHDL Music

Search list

[VHDL-FPGA-Verilogmusic_Code

Description: 音乐编辑与播放设计,采用VHDL编程,用ISE开发工具-music editing and playback design using VHDL programming, development tools with ISE
Platform: | Size: 2048 | Author: 赵晗 | Hits:

[VHDL-FPGA-VerilogSONGER

Description: 基于FPGA的VHDL可以产生不同的音调,象音乐一样-based FPGA VHDL can produce different tones, like the same music
Platform: | Size: 1024 | Author: 相耀 | Hits:

[VHDL-FPGA-VerilogEXPT10_1_SONGER

Description: 乐曲硬件演奏电路设计,采用VHDL语言,quartus2开发平台-music concert circuit hardware design using VHDL, quartus2 Development Platform
Platform: | Size: 6144 | Author: zdf | Hits:

[Windows Developmusic

Description: 用VHDL语言编写音乐发生器的例子,希望对大家有用!-Using VHDL language music generator example, in the hope that useful to everybody!
Platform: | Size: 300032 | Author: 刘恒辉 | Hits:

[Othermusic

Description: 一首VHDL自动音乐发生器程序,在Altera EDA软件下测试通过-VHDL an automatic music generator procedures, Altera EDA software under test
Platform: | Size: 1024 | Author: renaifeng | Hits:

[ELanguageS6_MUSIC

Description: 上个用VHDL语言写的音乐程序,希望对大家能够有帮助-In the use of VHDL language music program, and they hope to have everyone be able to help
Platform: | Size: 283648 | Author: 张侨 | Hits:

[SCMNOTETABS

Description: 用VHDL编写的音乐演奏程序,可下载到硬件控制扬声器发声-Using VHDL music written procedures can be downloaded to the hardware control loudspeaker audible
Platform: | Size: 1024 | Author: dakai | Hits:

[Documentssong_vhdl_quartus

Description: 用VHDL演示MUSIC的程序,可以应用,开发环境QUARTUS,实验箱为GW48-MUSIC demo using VHDL procedures, can be applied, development environment QUARTUS, experimental box for GW48
Platform: | Size: 415744 | Author: 在路上 | Hits:

[Othermusic

Description: vhdl实现音乐播放,播放梁祝乐曲-VHDL realization of music player, play music Butterfly
Platform: | Size: 911360 | Author: YANG | Hits:

[midi programMusic

Description: MAX plus VHDL语言 实现音乐的演奏-MAX plus VHDL language music recital
Platform: | Size: 4096 | Author: gjx | Hits:

[VHDL-FPGA-Verilogsopc_avalon_audio_dac_fifo

Description: fpga嵌入式系统组件,可以很方便的扩展,是个实例的例子,可以实现歌曲播放-FPGA embedded system components, it is easy to expand, is an example of the example, you can realize music player
Platform: | Size: 14336 | Author: dahai | Hits:

[SCMmusic

Description: v h d l 编程,通过蜂鸣器播放定制的乐曲,-vhdl ??????????涿
Platform: | Size: 3072 | Author: yuan | Hits:

[VHDL-FPGA-Verilogmusic

Description: 出血FPGA,用VHDL做的音乐盒,请各位大侠指点。-Bleeding FPGA, using VHDL to do a music box, please instruct heroes.
Platform: | Size: 305152 | Author: tianxingjianma | Hits:

[VHDL-FPGA-Verilogmusic

Description: 用VHDL语言仿真音乐设计 用VHDL语言仿真音乐设计-Simulation using VHDL language music design music design simulation VHDL language
Platform: | Size: 227328 | Author: 晴天 | Hits:

[VHDL-FPGA-Verilogmusic

Description: 设计并调试好一个能产生”梁祝”曲子的音乐发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera的MAX7000系列的 EPM7128 CPLD ,FLEX10K系列的EPF10K10LC84-3 FPGA, ACEX1K系列的 EP1K30 FPGA,Xinlinx 的XC9500系列的XC95108 CPLD,Lattice的ispLSI1000系列的1032E CPLD)进行硬件验证。 设计思路 根据系统提供的时钟源引入一个12MHZ时钟的基准频率,对其进行各种分频系数的分频,产生符合某一音乐的频率,然后再引入4HZ的时钟为音乐的节拍控制,最后通过扬声器放出来。 -Design and debug a good one can produce The Butterfly Lovers piece of music generator, and the development of EDA experimental system (to be used in models of experimental chip with optional Altera s MAX7000 series EPM7128 CPLD, FLEX10K series EPF10K10LC84-3 FPGA, ACEX1K Series The EP1K30 FPGA, Xinlinx the XC9500 series XC95108 CPLD, Lattice s ispLSI1000 series 1032E CPLD) for hardware verification. Design according to the system clock source provided by the introduction of a benchmark 12Mhz clock frequency and its various sub-sub-band frequency coefficients, resulting in consistent with the frequency of a particular music, and then the introduction of 4Hz clock control for the music beats, and finally through Loudspeakers released.
Platform: | Size: 8192 | Author: lijq | Hits:

[VHDL-FPGA-VerilogMUSIC

Description: 简单的乐曲播放器,实验课程作品,使用VHDL语言编写-Simple music player, the experimental program works, the use of language
Platform: | Size: 1223680 | Author: pokeesoft | Hits:

[Embeded-SCM Developmusic

Description: 用CPLD做音乐发生器,实现2首歌播放控制,用ise编译过的工程-CPLD to do with music generator, two songs to play to achieve control, compiled with the project ise
Platform: | Size: 307200 | Author: zhuzi | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 基于vhdl语言的音乐播放器的设计代码。请各位可以根据自己的需要用。-Vhdl language-based music player, the design of the code. Members can be used according to their own needs.
Platform: | Size: 89088 | Author: 赵小孩 | Hits:

[VHDL-FPGA-VerilogVHDL-music-generator-report-code

Description: VHDL实现音乐发生器,并进行FPGA验证!报告中含有各模块详细代码,和仿真波形!-VHDL music generator and FPGA verification! The report contains a detailed code of each module, and the simulation waveform!
Platform: | Size: 76800 | Author: 一个好人 | Hits:

[VHDL-FPGA-VerilogThe-VHDL-music-playing

Description: VHDL音乐演奏与编码-毕业论文,网上下载的,收藏了,贡献给有需要的人-The VHDL music playing and encoding- Thesis, online download, and contribution to the people in need
Platform: | Size: 1638400 | Author: 童智勇 | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net